CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 四路抢答器

搜索资源列表

  1. 基于vhdl的四路智能抢答器

    3下载:
  2. 基于vhdl语言的四路只能抢答器源代码程序
  3. 所属分类:VHDL编程

    • 发布日期:2011-06-04
    • 文件大小:5494
    • 提供者:jiqimao
  1. qingdaqi

    0下载:
  2. 四路抢答器,超时报警,提前抢答报警,计分等-Answer four, and overtime alarm, warning in advance Answer, including classification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3707
    • 提供者:Lee
  1. qiangda

    0下载:
  2. l、设计用于竞赛的四人抢答器,功能如下: (1) 有多路抢答器,台数为四; (2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3) 能显示超前抢答台号并显示犯规警报; (4) 能显示各路得分,并具有加、减分功能; 2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响,直至该路按键松开,显示牌显示该路抢答台号。 3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路 -l, d
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:305207
    • 提供者:hugh
  1. qda

    1下载:
  2. 三路智力竞赛抢答器,利用VHDL设计抢答器的各个模块,并使用EDA 工具对各模块进行仿真验证。智力竞赛抢答器的设计分为四个模块:鉴别锁存模块;答题计时模块;抢答计分模块以及扫描显示模块。把各个模块整合后,通过电路的输入输出对应关系连接起来。设计成一个有如下功能的抢答器: (1)具有第一抢答信号的鉴别锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,数码管显示出抢答者的组别。同时电路处于自锁状态,使其他组的抢答器按钮不起作用。 (2)具有计分功能。在初始状态时,主持
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:234821
    • 提供者:menglj
  1. QuadE-ResponderBasedOnVHDL

    0下载:
  2. 基于VHDL语言开发的四路电子抢答器,开发环境为MAX-Plus2-VHDL language development based on four electronic answering device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:92416
    • 提供者:hmy
  1. qiangda

    1下载:
  2. EDA课程设计智力抢答器 四路抢答器的设计以及程序和视屏 软件运行环境是:Quartus 9.1-EDA curriculum design intelligence Responder four answering device design and process and Screen software operating environment is:Quartus 9.1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9161812
    • 提供者:shaozhen
  1. qdq

    0下载:
  2. l、设计用于竞赛的四人抢答器,功能如下: (1) 有多路抢答器,台数为四; (2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3) 能显示超前抢答台号并显示犯规警报; (4) 能显示各路得分,并具有加、减分功能; 2、系统复位后进入抢答状态,当有一路抢答键按下 [qingdaqi.rar] - 四路抢答器,超时报警,提前抢答报警,计分等 -l, designed for race four Responder, functions as follows:
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1688
    • 提供者:杰克
  1. VHDLqiangdaqi

    1下载:
  2. VHDL四路抢答器该任务分成七个模块进行设计,分别为:抢答器鉴别模块、抢答器计时模块、抢答器记分模块、分频模块、译码模块、数选模块、报警模块,最后是撰写顶层文件。-VHDL four Responder divided into seven modules of the design task, namely: Responder identification module, timing module Responder, Responder scoring module, frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:7240
    • 提供者:oyang
  1. qiangda

    0下载:
  2. 设计的四人多路抢答器,基于vhdl开发环境-this project is based on vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:805
    • 提供者:束方健
  1. VHDL1

    0下载:
  2. 四路抢答器。自锁,灭灯,响闹,计时,显示。-Four ways of vies to implement VHDL source language
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:5750
    • 提供者:谢铷祥
  1. VHDL

    0下载:
  2. 四路抢答器。自锁,灭灯,响闹,计时,显示。-Four ways of vies to implement VHDL source language
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:6903
    • 提供者:谢铷祥
  1. Four-ways-of-contest

    0下载:
  2. 基于vhdl硬件设计语言而设计的四路抢答器-Based on VHDL designed hardware design language road 4 contest device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1024
    • 提供者:杨明
  1. altera_fpga

    0下载:
  2. 用VHDL编写的四路抢答器,附有加减分功能,答题倒计时等。-Written by VHDL four Responder, with addition and subtraction sub function, answer the countdown and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1084141
    • 提供者:fengyang
  1. qiangdaqi

    0下载:
  2. 基于VHDL与FPGA的四路抢答器的设计与仿真。主要模块:抢答、竞争冒险、抢答倒计时、加分减分、超时蜂鸣、按键消抖、答题记时等模块-VHDL and FPGA-based four-way Responder Design and Simulation. Main modules: Responder, competition and adventure, answer in the countdown, plus minus points, overtime buzzer, key debou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1539960
    • 提供者:丫头
  1. qiangdaqi

    0下载:
  2. 多路抢答器 VHDL语言设计 抢答器是各类竞赛常用的仪器设备之一,它能快速、准确地判决并显示出第一抢答者。本文作者采用MAXPLUSII 软件和MAX7000S芯片,提出了一种四路抢答器的设计方案。该方案具有判断准确、硬件电路简单、容易实现等优点。 关键字:抢答器 竞争 RS触发器 EDA -Multiple Responder Responder VHDL language design competition of various kinds of equipment used, i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:80608
    • 提供者:王天宇
  1. Four-intelligent-responder-

    0下载:
  2. 四路智能抢答器的VHDL实现,具有开始和复位功能,同时具有答题倒计时功能-Four intelligent responder VHDL implementation, with start and reset function, simultaneously has the answer countdown function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2677
    • 提供者:杨琦
  1. myqdq

    0下载:
  2. 该项目实现了一个四路的智力抢答器的基本功能,像抢答鉴别,计时,计分等。-A responder that is realized in VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:221260
    • 提供者:郭郭
  1. qdq

    0下载:
  2. 用VHDL语言实现四路抢答器功能,抢答之后不能再抢答,除非主持人按下复位键。可以显示四个选手分数,显示答题倒计时的时间,主持人可以控制加减分,分数通过显示屏显示。使用软件Quartus Ⅱ,可以将程序导入FPGA并能运行。有竞争模块,显示模块,分频模块,加减控制模块,计数器模块,蜂鸣器模块,译码模块,计分器模块,锁定模块等。-VHDL language with four Responder function can not answer after answer, unless the hos
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1078571
    • 提供者:陈雍珏
  1. Four-quiz-Responder

    0下载:
  2. 运用VHDL语言实现四路智力竞赛抢答器。抢答器的主要功能模块是是:1、对第一抢答信号的鉴别和锁存功能;2、计分功能。3、数码显示  ;4、答题限时功能。在本设计主要讲述抢答、计分和警告的功能。-Using VHDL language quiz four Responder.Responder main function modules are: 1, for the first answer to identify and latch signal 2, scoring functio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:263619
    • 提供者:YCZ
  1. qiangdaqi

    0下载:
  2. 使用VHDL实现四路抢答器,包含所有源码。可以成功实现-The use of VHDL to achieve four responder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1476322
    • 提供者:梁坤
搜珍网 www.dssz.com